Technical Reports

HPL-2008-189

Click here for full text: PDF

COTSon: Infrastructure for Full System Simulation

Argollo, Eduardo; Falcón, Ayose; Faraboschi, Paolo; Monchiero, Matteo; Ortega, Daniel
HP Laboratories

HPL-2008-189

Keyword(s): Full system simulation

Abstract: Simulation is the primary technique for evaluating the performance of new proposals in computer architecture. Speed and complexity have traditionally limited simulation to single-thread processors running application-level code. This is no longer sufficient to model multicore systems running current more complex workloads. COTSon's goal is to provide fast and accurate evaluation of current and future computing systems, covering the full software stack and complete hardware models, by targetting cluster- level systems composed of hundreds of multicore nodes connected through a standard communication network. COTSon uses fast functional emulators and timing models to improve the simulation accuracy at a speed sufficient to simulate the full stack of applications and OSs. Through a robust interface between the functional and timing domain, COTSon leverages existing simulators for individual sub-components, such as disks or networks. We abandon the idea of always-on cycle-based simulation in favor of statistical sampling approaches that can trade accuracy for speed.

10 Pages

Additional Publication Information: To be published in Operating Systems Review, Vol 43, Num 1, January 2009 Date Issued: No date available.

External Posting Date: November 6, 2008 [Fulltext]. Approved for External Publication
Internal Posting Date: November 6, 2008 [Fulltext]

Back to Index